Seçicinin bit sayısını ve kodu çözülmüş çıkış sinyallerini değiştirirken kullanmam için yeterince esnek bir kod çözücü oluşturmak istiyorum.VHDL'de esnek/genel bir kod çözücüsü için fikirler
Yani, bunun yerine bir statik sahip (sabit giriş/çıkış boyutu) Dekoder şuna benzer olduğunu:
entity Address_Decoder is
Generic
(
C_INPUT_SIZE: integer := 2
);
Port
(
input : in STD_LOGIC_VECTOR (C_INPUT_SIZE-1 downto 0);
output : out STD_LOGIC_VECTOR ((2**C_INPUT_SIZE)-1 downto 0);
clk : in STD_LOGIC;
rst : in STD_LOGIC
);
end Address_Decoder;
architecture Behavioral of Address_Decoder is
begin
DECODE_PROC:
process (clk)
begin
if(rising_edge(clk)) then
if (rst = '1') then
output <= conv_std_logic_vector(0, output'length);
else
case (input) is
for i in 0 to (2**C_INPUT_SIZE)-1 generate
begin
when (i = conv_integer(input)) => output <= conv_std_logic_vector((i*2), output'length);
end generate;
when others => output <= conv_std_logic_vector(0, output'length);
end case;
end if;
end if;
end process;
end Behavioral;
:
entity Address_Decoder is
Generic
(
C_INPUT_SIZE: integer := 2
);
Port
(
input : in STD_LOGIC_VECTOR (C_INPUT_SIZE-1 downto 0);
output : out STD_LOGIC_VECTOR ((2**C_INPUT_SIZE)-1 downto 0);
clk : in STD_LOGIC;
rst : in STD_LOGIC
);
end Address_Decoder;
architecture Behavioral of Address_Decoder is
begin
process(clk)
begin
if rising_edge(clk) then
if (rst = '1') then
output <= "0000";
else
case <input> is
when "00" => <output> <= "0001";
when "01" => <output> <= "0010";
when "10" => <output> <= "0100";
when "11" => <output> <= "1000";
when others => <output> <= "0000";
end case;
end if;
end if;
end process;
end Behavioral;
şöyle general/daha esnek olan bir şeyi, var
Bu kodun geçerli olmadığını ve "ne zaman" sınama durumlarının sabit olması gerektiğini ve bu tür bir durum deyiminde for-generate'ı kullanamayacağımı biliyorum, ancak sonra ne olduğumu gösterir : ihtiyaçlarıma yetecek kadar akıllı bir varlık.
Bu problem için çok başarılı bir çözüm bulmaya çalışıyorum, herhangi bir öneriye açığım. peşin
sayesinde Erick
'numeric_std' bir sağlar Bir vektörü belirtilen bir sayıya kaydıran işlev. Yani muhtemelen '0 =>' 1 'vektörünü, diğerleri =>' 0 '' vektörünü (giriş numarası - 1) değiştirebilirsin. –