uvm

    0ısı

    1cevap

    Çok basit bir arayüzü test etmek için UVM kullanıyorum ve şimdi “köşe kutusu” sorunuyla karşı karşıyayım. Bu yüzden çıkış monitöründen mantık levhasına mantık [0: 7] sinyali göndermem gerekiyor. Bu ko